VHDL stands for “VHSIC (very high-speed integrated circuit) hardware d dịch - VHDL stands for “VHSIC (very high-speed integrated circuit) hardware d Việt làm thế nào để nói

VHDL stands for “VHSIC (very high-s

VHDL stands for “VHSIC (very high-speed integrated circuit) hardware description lan-
guage.” It was originally sponsored by the U.S. Department of Defense and later transferred
to the IEEE (Institute of Electrical and Electronics Engineers). The language is formally de-
fined by IEEE Standard 1076. The standard was ratified in 1987 (referred to as VHDL 87),
and revised several times. This book mainly follows the revision in 1993 (referred to as
VHDL 93).
VHDL is intended for describing and modeling a digital system at various levels and
is an extremely complex language. The focus of this book is on hardware design rather
than the language. Instead of covering every aspect of VHDL, we introduce the key VHDL
synthesis constructs by examining a collection of examples. Detailed VHDL coverage may
be explored through the sources listed in the Bibliography.
In this chapter, we use a simple comparator to illustrate the skeleton of a VHDL pro-
gram. The description uses only logical operators and represents a gate-level combinational
circuit, which is composed of simple logic gates. In Chapter 3, we cover the more sophis-
ticated VHDL operators and constructs and examine module-level combinational circuits,
which are composed of intermediate-sized components, such as adders, comparators, and
multiplexers

0/5000
Từ: -
Sang: -
Kết quả (Việt) 1: [Sao chép]
Sao chép!
VHDL là viết tắt của "VHSIC (tốc độ cao rất mạch tích hợp) phần cứng mô tả lan -
gauge." Nó đã được ban đầu được tài trợ bởi bộ quốc phòng Hoa Kỳ và sau đó chuyển
để IEEE (Viện điện và điện tử kỹ sư). Ngôn ngữ chính thức là de -
phạt bởi 1076 tiêu chuẩn IEEE. Các tiêu chuẩn được chấp thuận vào năm 1987 (gọi là VHDL 87),
và sửa đổi nhiều lần. Cuốn sách này chủ yếu là sau bản sửa đổi năm 1993 (được gọi là
VHDL 93).
VHDL được thiết kế để mô tả và mô hình hóa một hệ thống kỹ thuật số ở các cấp độ và
là một ngôn ngữ cực kỳ phức tạp. Trọng tâm của cuốn sách này là thiết kế phần cứng thay vì
hơn ngôn ngữ. Thay vì bao gồm mọi khía cạnh của VHDL, chúng tôi giới thiệu phím VHDL
tổng hợp xây dựng bằng cách kiểm tra một tập hợp các ví dụ. Chi tiết VHDL bảo hiểm có thể
được khám phá thông qua các nguồn được liệt kê trong thư mục.
Trong chương này, chúng tôi sử dụng một so sánh đơn giản để minh họa những bộ xương của một VHDL pro -
gam. Mô tả sự sử dụng chỉ các nhà khai thác hợp lý và đại diện cho một cổng-cấp luận
mạch, trong đó bao gồm logic đơn giản cửa. Trong chương 3, chúng tôi bao gồm các sophis thêm -
ticated VHDL nhà điều hành và xây dựng và kiểm tra các mô-đun cấp luận mạch,
mà bao gồm các thành phần có kích thước trung bình, chẳng hạn như adders, comparators, và
multiplexers

đang được dịch, vui lòng đợi..
Kết quả (Việt) 2:[Sao chép]
Sao chép!
VHDL là viết tắt của "VHSIC (tốc độ cao rất mạch tích hợp) mô tả phần cứng lan-
ngữ. "Ban đầu nó được tài trợ bởi Bộ Quốc phòng Mỹ và sau đó chuyển giao
cho IEEE (Viện Kỹ sư Điện và Điện tử). Ngôn ngữ được chính thức de-
bị phạt bởi chuẩn IEEE 1076. Tiêu chuẩn này đã được phê chuẩn vào năm 1987 (gọi tắt là VHDL 87),
và sửa đổi nhiều lần. Cuốn sách này chủ yếu sau sửa đổi vào năm 1993 (gọi tắt là
VHDL 93).
VHDL được thiết kế để mô tả và mô hình hóa một hệ thống kỹ thuật số ở các cấp độ khác nhau và
là một ngôn ngữ cực kỳ phức tạp. Trọng tâm của cuốn sách này là về thiết kế phần cứng hơn
so với các ngôn ngữ. Thay vì bao gồm mọi khía cạnh của VHDL, chúng tôi giới thiệu VHDL chính
cấu trúc tổng hợp bằng cách kiểm tra một tập hợp các ví dụ. Bảo hiểm VHDL chi tiết có thể
được khám phá thông qua các nguồn được liệt kê trong Tài liệu tham khảo.
Trong chương này, chúng tôi sử dụng một so sánh đơn giản để minh họa cho bộ xương của một VHDL pro-
gram. Mô tả chỉ sử dụng khai thác hợp lý và đại diện cho một tổ hợp cổng cấp
mạch, trong đó bao gồm các cổng logic đơn giản. Trong chương 3, chúng tôi bao gồm hơn sophis-
khai thác VHDL ticated và xây dựng và kiểm tra mạch tổ hợp mô-đun cấp,
trong đó bao gồm các thành phần cỡ trung, chẳng hạn như bộ cộng, bộ so sánh, và
ghép kênh

đang được dịch, vui lòng đợi..
 
Các ngôn ngữ khác
Hỗ trợ công cụ dịch thuật: Albania, Amharic, Anh, Armenia, Azerbaijan, Ba Lan, Ba Tư, Bantu, Basque, Belarus, Bengal, Bosnia, Bulgaria, Bồ Đào Nha, Catalan, Cebuano, Chichewa, Corsi, Creole (Haiti), Croatia, Do Thái, Estonia, Filipino, Frisia, Gael Scotland, Galicia, George, Gujarat, Hausa, Hawaii, Hindi, Hmong, Hungary, Hy Lạp, Hà Lan, Hà Lan (Nam Phi), Hàn, Iceland, Igbo, Ireland, Java, Kannada, Kazakh, Khmer, Kinyarwanda, Klingon, Kurd, Kyrgyz, Latinh, Latvia, Litva, Luxembourg, Lào, Macedonia, Malagasy, Malayalam, Malta, Maori, Marathi, Myanmar, Mã Lai, Mông Cổ, Na Uy, Nepal, Nga, Nhật, Odia (Oriya), Pashto, Pháp, Phát hiện ngôn ngữ, Phần Lan, Punjab, Quốc tế ngữ, Rumani, Samoa, Serbia, Sesotho, Shona, Sindhi, Sinhala, Slovak, Slovenia, Somali, Sunda, Swahili, Séc, Tajik, Tamil, Tatar, Telugu, Thái, Thổ Nhĩ Kỳ, Thụy Điển, Tiếng Indonesia, Tiếng Ý, Trung, Trung (Phồn thể), Turkmen, Tây Ban Nha, Ukraina, Urdu, Uyghur, Uzbek, Việt, Xứ Wales, Yiddish, Yoruba, Zulu, Đan Mạch, Đức, Ả Rập, dịch ngôn ngữ.

Copyright ©2024 I Love Translation. All reserved.

E-mail: